verilog中task的用法

来源:本站
导读:目前正在解读《verilog中task的用法》的相关信息,《verilog中task的用法》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《verilog中task的用法》的详细说明。
简介:task在综合的时候不能带有时序控制,它里面的变量都是局部变量,如果想用task持续的改变一个变量,需要在他的外部定义一个全局变量。

例如:

task taskA(input a,output b);

b=1;#100;

b=a;#100;

b=0;#100;

endtask

一旦调用这个task,返回的值将是0。

在外部定义全局变量

reg b;

task taskA(input a);

b=1;#100;

b=a;#100;

b=0;#100;

endtask

如果这样做就不一样:b前100个延时是1,中间100个延时是a的值,后面之后是0.

提醒:《verilog中task的用法》最后刷新时间 2024-03-14 01:09:00,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《verilog中task的用法》该内容的真实性请自行鉴别。