verilog中function的使用

来源:本站
导读:目前正在解读《verilog中function的使用》的相关信息,《verilog中function的使用》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《verilog中function的使用》的详细说明。
简介:目的:掌握函数在模块设计中的使用。

与一般的程序设计语言一样,Veirlog HDL也可使用函数以适应对不同变量采取同一运算的操作。VeirlogHDL函数在综合时被理解成具有独立运算功能的电路,每调用一次函数相当于改变这部分电路的输入以得到相应的计算结果。

下例是函数调用的一个简单示范,采用同步时钟触发运算的执行,每个clk时钟周期都会执行一次运算。并且在测试模块中,通过调用系统任务$display在时钟的下降沿显示每次计算的结果。

模块源代码:

module tryfunct(clk,n,result,reset);

output[31:0] result;

input[3:0] n;

input reset,clk;

reg[31:0] result;

always @(posedgeclk) //clk的上沿触发同步运算。

begin

if(!reset)//reset为低时复位。

result<=0;

else

begin

result <= n * factorial(n)/((n*2)+1);

end

end

function [31:0]factorial; //函数定义。

input [3:0]operand;

reg[3:0] index;

begin

factorial =operand ? 1 : 0;

for(index =2; index <= operand; index = index + 1)

factorial = index * factorial;

end

endfunction

endmodule

测试模块源代码:

`include "./step6.v"

`timescale 1ns/100ps

`define clk_cycle 50

module tryfuctTop;

reg[3:0] n,i;

reg reset,clk;

wire[31:0] result;

initial

begin

n=0;

reset=1;

clk=0;

#100 reset=0;

#100 reset=1;

for(i=0;i<=15;i=i+1)

begin

#200 n=i;

end

#100 $stop;

end

always #`clk_cycle clk=~clk;

tryfuncttryfunct(.clk(clk),.n(n),.result(result),.reset(reset));

endmodule

上例中函数factorial(n)实际上就是阶乘运算。必须提醒大家注意的是,在实际的设计中,我们不希望设计中的运算过于复杂,以免在综合后带来不可预测的后果。经常的情况是,我们把复杂的运算分成几个步骤,分别在不同的时钟周期完成。

提醒:《verilog中function的使用》最后刷新时间 2024-03-14 01:09:02,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《verilog中function的使用》该内容的真实性请自行鉴别。