verilog键盘扫描程序

来源:本站
导读:目前正在解读《verilog键盘扫描程序》的相关信息,《verilog键盘扫描程序》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《verilog键盘扫描程序》的详细说明。
简介:verilog键盘扫描程序

零错误零警告的:verilog键盘扫描程序

`TImescale 1ns/1ns

module keyscan(

clk,

rst_n,

sw1_n,

sw2_n,

sw3_n,

//output

led_d3,

led_d4,

led_d5

);

input clk; //主时钟信号,48MHz

input rst_n; //复位信号,低有效

input sw1_n,sw2_n,sw3_n; //三个独立按键,低表示按下

output led_d3,led_d4,led_d5; //发光二极管,分别由按键控制

// ---------------------------------------------------------------------------

reg [19:0] cnt; //计数寄存器

always @ (posedge clk or negedge rst_n)

if (!rst_n) //异步复位

cnt <= 20'd0;

else

cnt <= cnt + 1'b1;

reg [2:0] low_sw;

always @(posedge clk or negedge rst_n)

if (!rst_n)

low_sw <= 3'b111;

else if (cnt == 20'hfffff) //满20ms,将按键值锁存到寄存器low_sw中

low_sw <= {sw3_n,sw2_n,sw1_n};

// ---------------------------------------------------------------------------

reg [2:0] low_sw_r; //每个时钟周期的上升沿将low_sw信号锁存到low_sw_r中

always @ ( posedge clk or negedge rst_n )

if (!rst_n)

low_sw_r <= 3'b111;

else

low_sw_r <= low_sw;

//当寄存器low_sw由1变为0时,led_ctrl的值变为高,维持一个时钟周期

wire [2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

reg d1;

reg d2;

reg d3;

always @ (posedge clk or negedge rst_n)

if (!rst_n)

begin

d1 <= 1'b0;

d2 <= 1'b0;

d3 <= 1'b0;

end

else

begin //某个按键值变化时,LED将做亮灭翻转

if ( led_ctrl[0] ) d1 <= ~d1;

if ( led_ctrl[1] ) d2 <= ~d2;

if ( led_ctrl[2] ) d3 <= ~d3;

end

assign led_d5 = d1 ? 1'b1 : 1'b0; //LED翻转输出

assign led_d3 = d2 ? 1'b1 : 1'b0;

assign led_d4 = d3 ? 1'b1 : 1'b0;

endmodule

提醒:《verilog键盘扫描程序》最后刷新时间 2024-03-14 00:56:41,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《verilog键盘扫描程序》该内容的真实性请自行鉴别。