EDA技术的电子系统设计

来源:本站
导读:目前正在解读《EDA技术的电子系统设计》的相关信息,《EDA技术的电子系统设计》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《EDA技术的电子系统设计》的详细说明。
简介:EDA技术设计电子系统具有用软件方式设计硬件;设计过程中可用有关软件进行仿真系统可现场编程,在线升级;整个可集成在一个芯片上等优点,因此EDA技术将广泛应用于科研工作和新产品的开发中。

1 EDA技术的主要内容

EDA技术涉及面广,内容丰富,从教学和实用的角度看,主要以下四个方面的内容:

(1)大规模可编程逻辑器件;

(2)硬件描述语言;

(3)软件开发工具;

(4)实验开发系统。

其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体,硬件描述语言是利用EDA技术进行电子系统的设计主要表达手段,软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,实验开发系统是利用EDA技术进行电子系统设计的下载工具及硬件验证工具。

2 EDA技术的发展历程

EDA 技术的发展至今经历了三个阶段:

1、电子线路的CAD(计算机辅助设计)是EDA发展的初级阶段,是高级EDA系统的重要组成部分。它利用计算机的图形编辑、分析和存储等能力,协助工程师设计电子系统的电路图、印制电路板和集成电路板图 它可以减少设计人员的繁琐重复劳动,但自动化程度低,需要人工干预整个设计过程。

2、计算机辅助工程设计简称CAE阶段是EDA技术中级阶段已具备了设计自动化的功能。其主要特征是具备了自动布局布线和电路的计算机仿真、分析和验证功能。尽管CAD/CAE技术取得了巨大的成功,但设计过程中,自动化和智能化程度还不高,各种EDA软件界面千差万别,学习使用困难,并且互不兼容,直接影响到设计环节间的衔接。其作用已不仅仅是辅助设计,而且可以代替人进行某种思维。

3、高级EDA阶段,又称为ESDA (电子系统设计自动化)系统。过去传统的电子系统电子产品的设计方法是采用自底而上(Bottom-up)的程式,设计者先对系统结构分块,直接进行电路级的设计。EDA技术高级阶段采用一种新的设计概念:自顶而下(TOP-Down)的设计程式和并行工程(Concurrent Engineering)的设计方法,设计者的精力主要集中在所设计电子产品的准确定义上,EDA系统去完成电子产品的系统级至物理级的设计。此阶段EDA技术的主要特征是支持高级语言对系统进行描述,不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。因此,有人说90年代EDA是电子电路设计的革命。

3 基于EDA的电子系统设计

20世纪90年代以来,电子信息类产品的开发明显出现两个特点:一是产品的复杂程度加深;二是产品的上市时限紧迫。然而电路级设计本质上是基于门级描述的单层次设计(主要以数字电路为主),设计的所有工作(包括设计输入、仿真和分析、设计修改等)都是在基本逻辑门这一层次上进行的。显然这种设计方法不能适应新的形势,为此引入一种高层次的电子设计方法,也称为系统的设计方法。

高层次设计是一种“概念驱动式”的设计,设计人员无须通过门级原理图描述电路,而是对设计目标进行功能描述,由于摆脱了电路细节的束缚,设计人员可以把精力集中于创造性的方案与概念构思上,一旦这些概念构思以高层次描述输入计算机后,EDA系统就能以规则驱动的方式自动完成整个设计。这样,新的概念得以迅速有效地成为产品,大大缩短了产品的研制周期。不仅如此,高层次的设计只是定义系统的行为特性,可以不涉及实现工艺,在厂家的综合库的支持下,利用综合优化工具可以将高层次的描述转化成对某种工艺优化的网表,工艺转化变得轻松容易。高层次设计步骤如下:

第一,按照“自顶而下”的设计方法进行系统划分。

第二,输入VHDL代码,这是高层次设计中最为普遍的输入方式。此外EDA实验室采用图形法仿真输入,这种方法具有直观、容易理解的特点。

第三,将以上设计输入编译成标准的VHDL文件。对于大型的设计,还要进行代码级的功能仿真,主要是检验系统功能设计的正确性。因为对大型设计,综合、适配要花费数小时,在综合前对源代码仿真,就可大大减少设计重复的次数和时间,一般情况下,可略去这一仿真步骤。

第四,利用仿真器对VHDL源代码进行综合优化处理,生成门级描述的网表文件,这是将高层次描述转化为硬件电路的关键步骤。综合优化是针对ASIC芯片供应商的某一产品进行的,所以综合的过程要在相应的厂家综合库支持下才能完成。综合后,可利用生产的网表文件进行适配前的时序仿真,仿真过程不涉及具体器件的特性,是较为粗略的,一般设计这一仿真步骤可略去。

第五,利用适配器件将综合后的网表文件针对某一具体的目标器件进行逻辑映射操作,包括底层器件配置、逻辑分割、逻辑优化、布局布线。适配完成后,产生多项设计结果,适配报告,包括芯片内部资源利用情况、设计的布尔方程描述情况等,适配后的仿真模型;器件编程文件。根据适配后的仿真模型,可以进行适配后的时序仿真,因为已经得到器件的实际硬件特性(如延时特性),所以仿真结果能比较精确地预期未来芯片的实际性能。如果仿真结果达不到设计要求,就需要修改VHDL源代码或选择不同速度品质的器件,直至满足设计要求。

第六,将适配器件生产的器件编程文件通过编程器或下载电缆载入到目标芯片CPLD/FPGA中。如果是大批量产品开发,通过更换相应的厂家综合库,可以很容易转由ASIC形式实现。

4 EDA技术的应用前景展望

1、EDA技术将广泛应用于高校电类专业的实践教学工作。借助于VHDL开发设计平台,可以进行系统的功能仿真和时序仿真,借助于实验开发系统进行硬件功能验证等,因而可以简化相关实验。对于电子技术课程设计,特别是数字系统性的课题,在EDA实验室可以很方便的设计出各种比较复杂的数字系统及硬件验证。

2、EDA技术将广泛应用于传统机电设备的升级换代和技术改造及集成电路的开发。

3、21世纪将是EDA技术的高速发展时期,EDA技术是现代电子设计技术的发展方向,并着眼于数字逻辑向模拟电路和数模混合电路的方向发展。EDA将会超越电子设计的范畴进入其他领域随着集成电路技术的高速发展,数字系统正朝着更高集成度、超小型化、高性能、高可靠性和低功耗的系统级芯片方向发展,借助于硬件描述语言的国际标准VHDL和强大的EDA工具,可减少设计风险并缩短周期,随着VHDL语言使用范围的日益扩大,必将给硬件设计领域带来巨大的变革。

提醒:《EDA技术的电子系统设计》最后刷新时间 2024-03-14 01:15:02,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《EDA技术的电子系统设计》该内容的真实性请自行鉴别。