D锁存器VHDL描述

来源:本站
导读:目前正在解读《D锁存器VHDL描述》的相关信息,《D锁存器VHDL描述》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《D锁存器VHDL描述》的详细说明。
简介:D锁存器VHDL描述源代码。

LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL; ENTITY latch1 ISPORT (d:IN STD_LOGIC;ena:IN STD_LOGIC; q:OUT STD_LOGIC);END latch1;ARCHITECTURE example4 OF latch1 ISSIGNAL sig_save:STD_LOGIC;BEGIN PROCESS (d,ena)BEGINIF ena='1' THENSig_save<=D;END IF;Q<=sig_save;END PROCESS;END example4;

提醒:《D锁存器VHDL描述》最后刷新时间 2024-03-14 01:01:50,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《D锁存器VHDL描述》该内容的真实性请自行鉴别。