如何在modelsim中添加altera的仿真库

来源:本站
导读:目前正在解读《如何在modelsim中添加altera的仿真库》的相关信息,《如何在modelsim中添加altera的仿真库》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《如何在modelsim中添加altera的仿真库》的详细说明。
简介:呵呵,这个问题纠结了我两天了,刚才又试了一下就通过了,其实网上的资料说的很清楚的,但是操作起来还真的容易失误,所以说不会的时候觉得怎么这么难搞,会了之后、发现怎么这么简单!

首先为什么要在modelsim中加仿真库呢?我的理解是这样的,modelsim仿真功能强大,但是没有跟具体的器件相结合所以不能够进行时序仿真和后仿真。那么通过添加相应器件的仿真库就可以做到这些了。关于器件,可以是lattice的,也可以是altera的,添加的方法其实都是一样的。我这里使用的是altera的仿真库。quartus软件中自带有altera的仿真库,我们只要把它拿到modelsim中去编译一下就可以啦:)

具体步骤如下:

1.去除modelsim安装目录下modelsim.ini的只读属性(使得这个.ini的配置文件可以被修改)

2.打开modelsim,更改目录File->Change directory到根目录下(注意这里不需要自己新建文件夹的,后面建了新的库会自动有一个新的文件夹把库中文件放进去的,不要多事)

3.新建一个库取名为altera。我使用的是modelsim的5.5版本,步骤是这样的:design->create a new library,打开之后在Library Name中写上altera,点击ok就可以了,其他不用动。

4,在modelsim的环境下对altera库文件进行编译,步骤是design-->compile,打开对话框,library中选择你刚才建的库名altera,在查找范围内选择altera库文件的所在地,我这里是C:alteraquartus60edasim_lib,如果你是用vhdl编写代码的则选择vhdl,verilog编写代码的话就选verilog(我选择的是verilog)

5,首先把目录下的单独的v文件全部编译(具体是些什么模块库我没有仔细看,估计是一些通用的库),然后选择你想要编译的器件库(我只用到cyclone系列的芯片)全选后编译即可.编译完你所想要编译的库文件后按done结束编译并退出modelsim。

6.打开modelsim.ini文件,在[Library]下可以看到altera=altera这一句,,那就修改下路径就好,

把这一句改为altera = $MODEL_TECH/../altera,就ok了

7.再把modelsim.ini的只读属性选上就可以开工了

此时你再打开modelsim就能在Library栏看到你添加的库啦

提醒:《如何在modelsim中添加altera的仿真库》最后刷新时间 2024-03-14 01:04:01,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《如何在modelsim中添加altera的仿真库》该内容的真实性请自行鉴别。