vcs+verdi 遇到的问题

来源:本站
导读:目前正在解读《vcs+verdi 遇到的问题》的相关信息,《vcs+verdi 遇到的问题》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《vcs+verdi 遇到的问题》的详细说明。
简介:本文介绍verdi软件在升级之后遇到的问题及对应的解决办法。

公司IT部门把verdi软件做了升级,但是在编译的过程中出现下面的问题:

“Novas” ERROR:The #2 argument is invalid

“Novas” ERROR:Syntax - $fsdbDumpvars([depth,][instance][,option]);

testbench中调用函数如下

$fsdbDumpfile(“test.fsdb”);

$fsdbDumpfile(0,testbench);

用之前的版本没有遇到过这样的问题,愁了一下午,不知道该怎么解决?

解决方法

首先生产vcd文件,然后通过命令转成fsdb文件.

$dumpfile(“test.vcd”);

$dumpvars(0,tb_test);

vcd2fsdb your_file

这种方法就是先生成vcd文件,vcd文件比较大,而全verdi不识别,所示需要转化成fsdb文件格式,fsdb文件格式是压缩后的波形文件,占用空间比较小

2、vcs 需要添加 -debug_pp选项

vcs +v2k –sverilog –debug_pp

-R

-P /tool/Verdi/share/PLI/VCS/LINUX/novas.tab /tool/Verdi/share/PLI/VCS/LINUX/pli.a

-f run.f

-l test.log

提醒:《vcs+verdi 遇到的问题》最后刷新时间 2024-03-14 01:01:27,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《vcs+verdi 遇到的问题》该内容的真实性请自行鉴别。