FPGA片内ROM实例之ROM初始化文档创建

来源:本站
导读:目前正在解读《FPGA片内ROM实例之ROM初始化文档创建》的相关信息,《FPGA片内ROM实例之ROM初始化文档创建》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《FPGA片内ROM实例之ROM初始化文档创建》的详细说明。
简介:本文讲了如何创健ROM初始化文档,下面一起来学习一下:

我们这里要创建一个ROM存储器初始化内容对应的文件。 在当前工程路径“…cy4ex18source_code”下直接创建一个名称为rom_init、后缀为mif的文件,即rom_init.mif文件,如图9.21所示。

FPGA片内ROM实例之ROM初始化文档创建

图9.21 ROM初始化文件

用notepad++打开rom_init.mif文件。编辑文件如图9.22所示。

●符号“--”是注释符号,其所在行后面的字符为注释内容。

●“WIDTH=8;”表示后面ROM数据的位宽为8。

●“DEPTH=32;”表示ROM的深度为32,即32*8bit的存储总量。

●“ADDRESS_RADIX=UNS;”以及“DATA_RADIX=HEX;”则分别表示地址总线是10进制表示,而数据总线是16进制表示。

●“CONTENT BEGIN”和“END”之间就是ROM的具体数据;比如“0 : 11;”表示地址0的数据为16进制0x11,以此类推。

FPGA片内ROM实例之ROM初始化文档创建

图9.22 ROM初始化文件内容

提醒:《FPGA片内ROM实例之ROM初始化文档创建》最后刷新时间 2024-03-14 00:52:25,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《FPGA片内ROM实例之ROM初始化文档创建》该内容的真实性请自行鉴别。