深入学习FPGA之独立按键检测(下)

来源:本站
导读:目前正在解读《深入学习FPGA之独立按键检测(下)》的相关信息,《深入学习FPGA之独立按键检测(下)》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《深入学习FPGA之独立按键检测(下)》的详细说明。
简介:本文带大家深入学习了在FPGA里面独立按键检测的方法。

八、 仿真分析

深入学习FPGA之独立按键检测(下)

由上图仿真结果可知,当有按键按下时,需要较长一段时间后,Key_Flag会有一个高电平脉冲,同时Key_Value更新为输入按键的反码。

为了确定消抖是成功的,这里再附上按键松开时的抖动细节图:

深入学习FPGA之独立按键检测(下)

由图可知,松开按键时,该按键IO不断的检测到高电平和低电平,直到一段时间和,抖动方停止,稳定为按键没有按下时的状态

下图为整个工程的仿真结果,由图可知,每按下一次按键0(key_in[0]),led[0]的状态便翻转一次。

深入学习FPGA之独立按键检测(下)

九、 下板验证

手头暂无开发板,板级验证略。

十、 总结

本文档对按键消抖的原理进行了分析,并对消抖核心模块的设计进行了仿真,通过modelsim仿真验证了消抖模块设计的正确性。

具体的控制模块这里因为篇幅和时间关系暂不介绍,也因为没有开发板,暂时无法录制演示视频,等录制视频时,我会对整个系统的架构设计,代码设计进行详细的分析和讲解。以前没有做过不知道,写了两三次后才发现,原来文档的编写和整理比编写代码要的时间要多的多。不过,我总还是会坚持做下去的,希望我能有足够的时间来做这些事。

提醒:《深入学习FPGA之独立按键检测(下)》最后刷新时间 2024-03-14 00:55:38,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《深入学习FPGA之独立按键检测(下)》该内容的真实性请自行鉴别。