关于FPGA复位设计

来源:本站
导读:目前正在解读《关于FPGA复位设计》的相关信息,《关于FPGA复位设计》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《关于FPGA复位设计》的详细说明。
简介:复位电路,分为同步复位、异步复位以及比较推荐的异步复位同步释放。

同步复位:复位启动时同步于寄存器的时钟域。优势在于百分之百地同步时序分析且具有抗噪声性能。缺点在于同步电路参与反馈而引起不想要的状态。

always @(posedge clk)

if(!rst_n)

begin

.......

end

else

begin

.......

end

异步复位:与寄存器所在的时钟域之间并无确定的时序关系,正因如此得到异步复位信号的时序是非常困难的。优势在于不参与数据路径,所以不影响数据路径速度,复位几乎是瞬间作用。缺点在于释放的时候会导致一些类似亚稳态的问题以及对噪声不敏感。

always @(posedge clk or negedge rst_n)

if(!rst_n)

begin

.......

end

else

begin

.......

end

异步复位同步释放:复位信号是异步有效的,即复位的发生与时钟无关;复位信号的释放是与时钟有关的,即同步的。寄存了一级DFF相当于

reg rst_nr1, rst_nr2;

always @(posedge clk or negedge rst_n)

begin

if(!rst_n)

begin

rst_nr1 <= 1'b0;

rst_nr2 <= 1'b0;

end

else

begin

rst_nr1 <= 1'b1;

rst_nr2 <= rst_nr1;

end

end

提醒:《关于FPGA复位设计》最后刷新时间 2024-03-14 00:59:05,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《关于FPGA复位设计》该内容的真实性请自行鉴别。