Verilog HDL基础教程之:时序逻辑电路

来源:本站
导读:目前正在解读《Verilog HDL基础教程之:时序逻辑电路》的相关信息,《Verilog HDL基础教程之:时序逻辑电路》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《Verilog HDL基础教程之:时序逻辑电路》的详细说明。
简介:本文介绍了Verilog HDL的时序逻辑电路

在VerilogHDL语言中,时序逻辑电路使用always语句块来实现。例如,实现一个带有异步复位信号的D触发器如下。

例1:带异步复位的D触发器1。

wire Din;

wire clock,rst;

reg Dout;

always @ (posedge clock or negedge rst) //带有异步复位

if(rst == 1’b0) Dout = 1’b0;

else Dout = Din; //D触发器数据输出

在例1中,每当时钟clock上升沿到来后,输出信号Dout的值便更新为输入信号Din的值。当复位信号下降沿到来时,Dout的值就会变成0。必须注意的是,在时序逻辑电路中,通常使用非阻塞赋值,即使用“=”。当always块整个完成之后,值才会更新,例如:

例2:带异步复位的D触发器2。

wire Din;

wire clock,rst;

reg Dout;

always @ (posedge clock or negedge rst) //带有异步复位

if(rst == 1’b0) out = 1’b0;

else begin

Dout = Din; //D触发器输出值还处于锁定状态

Dout = 1’b1; //D触发器输出值依然处于锁定状态

End //D触发器的输出为1

在例2中,Dout首先被赋值为Din,此时Dout的值并没有发生改变;接着Dout又被赋值为1,此时Dout的值依然没发生改变;直到这个always模块完成,Dout的值才变成最后被赋的值,此例中Dout的值为1。

在时序逻辑电路中,always的时间控制是沿触发的,可以单个信号也可以多个信号,中间需要用关键字“or”连接,例如:

always @(posedge clock or posedge reset) begin //由两个沿触发的always块

end

其中有一个时钟信号和一个异步复位信号。

always @(posedge clock1 or posedge clock2 or posedge reset) begin

//由3个沿触发的always块

end

其中有两个时钟信号和一个异步复位信号。

一般而言,同步时序逻辑电路更稳定,所以建议尽量使用一个时钟触发。

提醒:《Verilog HDL基础教程之:时序逻辑电路》最后刷新时间 2024-03-14 01:15:38,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《Verilog HDL基础教程之:时序逻辑电路》该内容的真实性请自行鉴别。