Quartus II 调用ModelSim 仿真

来源:本站
导读:目前正在解读《Quartus II 调用ModelSim 仿真》的相关信息,《Quartus II 调用ModelSim 仿真》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《Quartus II 调用ModelSim 仿真》的详细说明。
简介:下面是基于在Altera Quartus II 下如何调用ModelSim 进行仿真的一个实例。

不能否认,Quartus II(我用的7.0)无法像ISE 那样方便的直接调用ModelSim,而是需要额外的做一些工作。这确实给我们的仿真调试带来了一些不便。特权同学也是在摸索了好久以后才彻底搞定这个问题,下面分享下,力求明白易懂,让大家少走一些弯路。

1、 打开Quartus II,新建一个工程,工程代码如下(只是做一个简单的二分频电路):

module modelsim_test(

clk,rst_n,p

);

input clk; //系统时钟

input rst_n; //复位信号,低有效

output p; //2 分频信号

reg p;

always @ (posedge clk or negedge rst_n)

if(!rst_n) p <= 1'b0;

else p <= ~p;

endmodule

2、 进入菜单栏的Assignments EDA Tool Settings,进入如下界面:

Quartus II 调用ModelSim 仿真

双击“EDA tools”下的“Simulation”选项,弹出如下界面:

Quartus II 调用ModelSim 仿真

点击Tool name 最右侧的下拉条,选择“ModelSim”,在弹出的界面做如下设置:

Quartus II 调用ModelSim 仿真

3、 以上设置完成,重新编译工程。

打开工程目录,看到多了一个“simulation”文件夹,再打开该文件夹下的“modelsim”文件夹。看到有三个文件,其中.vo 文件就是我们的代码布局布线信息。仿真还需要几个文件,我们把它们都拷贝到该目录下。

4、 打开“C:altera70quartusedasim_lib”(具体quartus 软件安装文件的根目录视您的实际情况而定,大体路径都一样),因为我们用的是MAX II器件,所以把“maxii_atoms.v”拷贝到3 中提到的目录下,这个文件是仿真元件库。

5、 编写一个工程RTL 源码的Testbench 文件,用于作为仿真激励(具体如何编写清参考相关数据文档资料)。这个实例的testbench 如下:

module vtf_test;

// Inputs

reg clk;

reg rst_n;

// Output

wire p;

modelsim_test u1(

.clk(clk),

.rst_n(rst_n),

.p(p)

);

initial begin

clk = 0;

forever

#10 clk = ~clk; //产生50MHz 的时钟

end

initial begin

rst_n = 0;

#1000 rst_n = 1; //上电后1us 复位信号

#1000;

$stop;

end

endmodule

将该文件命名为tb_test.v,保存到3 提到的文件夹下。

6、 在wokspace 的library 面板的空白处单击右键,选择“new”→“library”

Quartus II 调用ModelSim 仿真

在新弹出界面中做如下设置,然后点击“OK”。

Quartus II 调用ModelSim 仿真

7、 启动ModelSim 软件,点击菜单栏的Compile

Quartus II 调用ModelSim 仿真

Library 选择刚才新建的“work_test”,查找范围定位到我们3 提到的文件夹下。全选在该目录下可以看到的文件,点击Compile(注意该文件夹必须在全英文/数字路径下,否则会出错无法编译)。

8、 我们回到wokspace 的library 面板,work_test 多处了下拉框,点击后如下:

Quartus II 调用ModelSim 仿真

我们右键单击vtf_test 文件,在弹出的菜单中选择Simulation

9、 执行上面的操作后,软件自动弹出wokspace 的sim 面板如下:

Quartus II 调用ModelSim 仿真

在vtf_test 栏单击右键,在弹出菜单中选择Add to Wave。然后软件将弹出波形窗口。

10、 波形窗口如下:

Quartus II 调用ModelSim 仿真

这时我们点击全速编译(一次不行,多点一次,工具栏倒数第三个按钮),

然后点击观看全部波形(放大缩小按钮后面一个蓝色按钮)。

11、 仿真结果如下:

Quartus II 调用ModelSim 仿真

可以看到p 信号确实是clk 的二分频。呵呵,基本的操作就到此结束了。

提醒:《Quartus II 调用ModelSim 仿真》最后刷新时间 2024-03-14 00:54:16,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《Quartus II 调用ModelSim 仿真》该内容的真实性请自行鉴别。