导读:目前正在解读《小梅哥和你一起深入学习FPGA之数码管动态扫描(下)》的相关信息,《小梅哥和你一起深入学习FPGA之数码管动态扫描(下)》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《小梅哥和你一起深入学习FPGA之数码管动态扫描(下)》的详细说明。
简介:本实验主要对数码管驱动引脚的状态与预期进行比较和分析,通过仿真,验证设计的正确性和合理性。数码管驱动模块的testbench如下所示:

测试平台设计

本实验主要对数码管驱动引脚的状态与预期进行比较和分析,通过仿真,验证设计的正确性和合理性。数码管驱动模块的testbench如下所示:

`timescale 1ns/1ns

module DIG_LED_DRIVE_tb;

reg [23:0]data;

reg clk;

reg rst_n;

wire [7:0]seg;

wire [2:0]sel;

DIG_LED_DRIVE DIG_LED_DRIVE_inst1(

.Data(data),

.Clk(clk),

.Rst_n(rst_n),

.Dig_Led_seg(seg),

.Dig_Led_sel(sel)

);

initial begin

data = 0;

clk = 1;

rst_n = 0;

#200;

rst_n = 1;

data = 24'h012345;

#10000;

data = 24'h518918;

#10000;

data = 24'h543210;

#10000;

$stop;

end

always #10 clk = ~clk;

endmodule

每隔一段时间,更换数码管的Data输入数据,观察数码管的输出是否正确。

提醒:《小梅哥和你一起深入学习FPGA之数码管动态扫描(下)》最后刷新时间 2024-03-14 01:19:24,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《小梅哥和你一起深入学习FPGA之数码管动态扫描(下)》该内容的真实性请自行鉴别。