verilog 之数据类型和表达式

来源:本站
导读:目前正在解读《verilog 之数据类型和表达式》的相关信息,《verilog 之数据类型和表达式》是由用户自行发布的知识型内容!下面请观看由(电工技术网 - www.9ddd.net)用户发布《verilog 之数据类型和表达式》的详细说明。
简介:创作者将verilog世界中的万物都看做【数据】,而【数据】被分成两大类即【常量】和【变量】,而无论【常量】和【变量】,他们都是由标示符表示的。

一、创作者将verilog世界中的万物都看做【数据】,而【数据】被分成两大类即【常量】和【变量】,而无论【常量】和【变量】,他们都是由标示符表示的。

1、常量:整型、实型和字符串型//在C语言中,字符型变量1)整型: 10、-10;64‘hff012) 实型:3.5;3.5_1e2;3) 字符串型:“INTERNAL ERROR" ,”time_del_125"// 主要用于显示某些信息,必须字符或数据必须在双引号之内,另外注意一些特殊字符的意义,与C类似2、变量:类型比较多,最基本的是线网型(Net type)和寄存器型(Register type)两种,每种下面又包含多个子类型1)Net type : 语法格式 net_kind [msb:lsb] net1,net2,...netN;其中常用的两个子类型为wire型,用于表示标准的互连线; 格式举例 wire a ; wire [4:0] a,b;2) register type : register_kind [msb:lsb] 数据1,数据名2,数据名3,... 数据名N;其实这种类型的变量就是一个抽象的存储单元,对它的赋值就相当于改变寄存器的值;默认值为X;reg型变量:可以取任意长度无符号数,默认值为X;可以通过reg型变量数据来构造一个存储器,如reg[3:1] MyMem[63:0]//定义一个含有64个宽度 为3的MyMem数据即一个存储体;interger型变量: 整数寄存器,可以存储正、负整数,其最少可以容纳32位数,无法按位访问;举例 interger Mem[3:5] //定义一组寄存器 mem3,mem4,mem5time型变量:用于存储一个64位时间值(无符号),单位有系统指定。 举例 time CurrTimereal :数据寄存器变量,用于仿真延时、负载等物理参数,默认值为0;realtime :实数型时间寄存器;二、彼此联系的事物构成了一个丰富多彩的大千世界,而创作者通过对现实世界的抽象创作了一个虚拟的verilog世界。在这个虚拟的世界中,【数据】通过各种【操作符】建立起关系,给了我们另外描述真实世界的语言。这部分内容与C非常类似,仅对自己陌生一定的地方记录一下。1、归约操作符它属于单目运算,而未操作数有的是单目有的是双目操作2、相等和全等操作符== (相等),!=(不等):对两个操作数的逻辑值进行比较,得出一个值;===(全相等),!==(非全相等):对两个操作数的每一位进行严格的比较,得出一个最终的逻辑值。

提醒:《verilog 之数据类型和表达式》最后刷新时间 2024-03-14 01:17:29,本站为公益型个人网站,仅供个人学习和记录信息,不进行任何商业性质的盈利。如果内容、图片资源失效或内容涉及侵权,请反馈至,我们会及时处理。本站只保证内容的可读性,无法保证真实性,《verilog 之数据类型和表达式》该内容的真实性请自行鉴别。